Welcome![Sign In][Sign Up]
Location:
Search - dianziqin vhdl

Search list

[Other resourcedianziqin

Description: 简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
Platform: | Size: 125026 | Author: haiyang | Hits:

[Other resourcedianziqin

Description: 本例为电子琴VHDL程序原代码,电子琴,可实现基本功能
Platform: | Size: 49646 | Author: liujingyang | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 8.18 电子琴程序设计与仿真 2004.8修改-8.18 Design and simulation of organ procedures to amend 2004.8
Platform: | Size: 50176 | Author: zhangfeng | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
Platform: | Size: 124928 | Author: haiyang | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 本例为电子琴VHDL程序原代码,电子琴,可实现基本功能-In this case the procedures for organ VHDL source code, organ, can realize the basic functions of
Platform: | Size: 49152 | Author: liujingyang | Hits:

[ELanguagedianziqin

Description: 这是一个有关梁祝的电子琴设计代码,可能和别人的有点相识,但是还是希望大家好好看看 -This is a Butterfly s flower design code, and those of others may be a little known, but still hope that a good look at
Platform: | Size: 54272 | Author: 赵玉 | Hits:

[Multimedia Developdianziqin

Description: 设计一个具有16音的电子音乐播放器 具有自动播放的功能 具有音符显示功能 -Design of a 16-tone electronic music player with auto-play function display notes
Platform: | Size: 246784 | Author: 邱颖 | Hits:

[assembly languagedianziqin

Description: 八音自动播放电子琴设计,用verilog的vhdl实现-Autoplay octave organ design, vhdl achieve the verilog
Platform: | Size: 78848 | Author: liuxing | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 基于FPGA实现八音电子琴的设计,并附带自动播放功能-The design of realization eight sound electronic organses, and supplementary auto broadcast function
Platform: | Size: 2048 | Author: renyucai | Hits:

[VHDL-FPGA-Verilogjiyu-FPGA-dianziqin

Description: 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。 -1) Main chipset: Altera' s FLEX10K20TC144-4 STC89C58RD+. 2) require the expansion of the keyboard interface circuit can be achieved general organ function, to music performed manually, in addition should have a storage function, which will perform the music store and playback under manual control. 3) complete the system design. 4) the preparation of the corresponding procedures and the corresponding VHDL simulation work, the completion of system testing. 5) procedures for the preparation of 51 systems to complete the initialization, the system control functions. 6) the use of 51 on-line system configuration. 7) to play some music can be performed automatically.
Platform: | Size: 68608 | Author: 任大志 | Hits:

[Embeded-SCM DevelopDIANZIQIN

Description: 实现琴键记忆及动态显示的电子琴VHDL源程序,经FPGA验证可行-Achieve the keys of the keyboard memory and dynamic display VHDL source code, after FPGA validation feasible
Platform: | Size: 2048 | Author: 王宇坤 | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 电子琴的vhdl程序与仿真 quartus2-Vhdl procedure and simulation of electronic organs quartus2
Platform: | Size: 50176 | Author: Sjn | Hits:

[Embeded-SCM Developdianziqin

Description: 用VHDL语言编写的电子琴实验程序。能完成很多功能啊。-VHDL language of the keyboard with the experimental procedure. Able to complete many functions ah.
Platform: | Size: 8192 | Author: wanli | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 这个程序是利用Quartus II编写的利用数控分频器设计硬件电子琴,主系统由3个模块组成,顶层设计文件内部有三个功能模块:SPEAKER.VHD 和TONE.VHD和NoteTabs.vhd。模块TONE是音阶发生器,模块SPEAKER中的主要电路是一个数控分频器,NOTETABS模块用于产生节拍控制和音阶选择信号。-This program is the use of Quartus II design prepared by the use of CNC divider hardware keyboard, the main system consists of three modules, the top-level design documents within the three functional modules: SPEAKER.VHD and TONE.VHD and NoteTabs.vhd. TONE is the scale generator module, the module SPEAKER in the main circuit is a numerical divider, NOTETABS module used to generate beat signals to control and scale selection.
Platform: | Size: 389120 | Author: 哈哈 | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 本源码讲解了电子琴的设计思想以及源代码的编写方法。-The source explained the keyboard design ideas, as well as the compilation of source code.
Platform: | Size: 890880 | Author: 王伟 | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 用VERILOG编写的 电子琴程序 顶层使用图形模块化连接 思路清晰。-Procedures for the preparation of the flower with the VERILOG top of a graphical modular connection clear thinking.
Platform: | Size: 436224 | Author: 江舟 | Hits:

[assembly languagedianziqin

Description: 用VHDL语言设计了电子琴,通过按键控制声响-design dianziqin by VHDL
Platform: | Size: 456704 | Author: shengpan | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 用VHDL语言实现简易电子琴功能,并能播放歌曲,实验报告-VHDL,simple keyboard, play songs, laboratory reports
Platform: | Size: 9216 | Author: yanzi | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: VHDL语言编写的可以显示时钟的电子琴,使用Quatrus II开发,实验板为DE2-VHDL language keyboard that can display the clock, using Quatrus II development, test board for the DE2
Platform: | Size: 3843072 | Author: 张辰 | Hits:

[VHDL-FPGA-Verilogdianziqin

Description: 详细列举了电子琴的功能和作用,通过VHDL软件设计的方法方真出其结果,对于提高VHDL有很大的帮助-Keyboards list in detail the functions of the software design, the method by VHDL true out its results, party for improving VHDL has very great help
Platform: | Size: 1126400 | Author: 卧虎 | Hits:
« 12 »

CodeBus www.codebus.net